본문 바로가기

전체 글315

[Harman 세미콘 아카데미] 54일차 - Verilog(Digital Watch : time setting, set fix, set mode) [Digital Watch 만들기] 이제까지 만들어놓은 모듈 라이브러리를 활용하여 디지털 시계를 구현해보도록 하자. [Time Setting] 📌 Block Diagram 디지털 시계의 기능 중 하나인, 시간 설정 기능을 구현해보도록 하자. 시작은 00min : 00sec이며, 시간은 back ground에서 계속 흘러가고, 설정은 흘러가는 시간 위에 적용시킬 수 있도록 설계하였다. 📌 Code & Operation Clock Library // usec clock module clock_usec( input clk, reset_p, input enable, output clk_usec ); // 125개 카운트하면 1us reg [6:0] cnt_8nsec; wire cp_usec; // cp : cl.. 2023. 9. 11.
삼성전기, 고성능 파워 인덕터 개발...'하나의 칩' 구조로 반도체 전력 공급 난제 풀어 삼성전기 커플드 파워인덕터. 〈사진 삼성전기 제공〉 삼성전기가 두 개의 파워인덕터를 하나의 칩으로 구현한 커플드(Coupled) 파워인덕터를 개발했다. 업계 첫 박막형 구조를 채택, 절연·저항값 등 전기적 특성이 우수하다. 파워인덕터는 배터리가 공급한 전력(파워)을 반도체가 필요한 방식으로 변환하고 전류를 안정적으로 공급하는 전자부품이다. 삼성전기는 기판 위 얇은 코일을 전해도금 방식으로 형성해 전자기적 간섭이 적고 저항값이 낮은 커플드 파워컨덕터를 개발했다고 16일 밝혔다. PC 두뇌 역할을 하는 중앙처리장치(CPU) 주변에 탑재돼 CPU에 안정적인 전류를 공급한다. 신제품은 가로 2.0㎜, 세로 1.6㎜인 '2016크기', 가로 2.2㎜, 세로 1.8㎜의 '2218크기' 2종이다. 파워인덕터는 내부에.. 2023. 9. 11.
OCI의 야심 "차세대 실리콘 음극재 도전" 김택중 OCI 부회장 인터뷰 인적분할로 탄생한 OCI 반도체 폴리실리콘 매출 확대 도쿠야마와 말레이공장 증설 기초화학 '한우물 전략' 고수 "ESG 따른 제품 생산이 살길" 김택중 OCI 부회장은 매일경제와의 인터뷰에서 "인적분할 후 OCI를 반도체와 2차전지 소재 중심 회사로 키워갈 것"이라고 강조했다. 김호영 기자 중견 화학 업체인 OCI가 올해 5월 인적분할을 통해 존속법인 OCI홀딩스와 신설법인 OCI로 사업 구조를 개편했다. 그 직후 OCI 부회장으로 승진한 김택중 대표는 1986년 동양화학(현 OCI)에 입사해 38년간 OCI 외길 인생만 걸어온 경영인이다. 최근 매일경제신문과 만난 김택중 부회장은 "회사 분할 후 반도체와 2차전지 소재 중심 회사로 키워갈 것"이라고 말했다. 지주사 OCI홀딩.. 2023. 9. 11.
"바이든 베트남 방문 때 美 반도체·테크 기업들 동행" 로이터 보도…"인텔·구글 등 참석해 반도체·AI 사업 논의 예상" 조 바이든 미국 대통령 [로이터=연합뉴스. 재판매 및 DB 금지] (로스앤젤레스=연합뉴스) 임미나 특파원 = 조 바이든 미국 대통령이 베트남을 국빈 방문하는 기간에 미국의 주요 반도체·테크 기업들이 현지에서 열리는 비즈니스 회의에 참석한다고 로이터 통신이 소식통을 인용해 9일(현지시간) 보도했다. 로이터 소식통은 오는 11일 베트남 하노이에서 인텔, 구글, 앰코 테크놀로지, 마벨 테크놀로지, 글로벌파운드리스, 보잉 등 미 기업 고위 임원들이 참석하는 비즈니스 회의가 열린다고 전했다. 이 소식통에 따르면 이번 회의에는 베트남의 최대 기술기업인 FPT와 토니 블링컨 미 국무장관을 비롯해 기업 임원들과 양국 관료 등 약 30명이 참석할 예정이다.. 2023. 9. 11.
[Harman 세미콘 아카데미] 53일차 - ARM 및 RTOS 활용(Mission : LED Controller) [Mission] 📌 Mission : 조이스틱을 이용한 밝기 제어 M1. 조이스틱을 이용하여 밝기를 제어한다. M2. ADC 기능을 활용하여 조이스틱 입력 처리를 구현한다. (Timer, Interrupt, DMA 방식 중 택1) M3. FreeRTOS를 사용하여 조이스틱의 입력에 따라 LED 밝기를 조절하고 LED를 점멸 속도를 조정하는 두 가지 동시 작업을 관리한다. 수행 기간 : 6 hours [Result] 📌 Flow Chart Flow Chart Description 1. 각 기능들 초기화 2. Read Joystick Value 3. zVal = 1이면, LED Off zVal = 0이면, LED On 4. xVal : Blink Speed Control 5. yVal : Brightnes.. 2023. 9. 11.
[Harman 세미콘 아카데미] 52일차 - Verilog(Cook Timer - Button controller, Time setting, Down counter, Timeout, Alarm) Full Code는 맨 아래에~ [Button controller] 📌 Button controller module 자주 사용하는 기능이니까 모듈로 만들어 버리자. module button_cntr( input clk, reset_p, input btn, output btn_pe, btn_ne ); // DFF를 위한 분주기 reg [16:0] clk_div = 0; // 이렇게 = 0 해놓으면 시뮬레이션에서 자동으로 0으로 초기화된다. // 보드에서 쓸 때는 시스템적으로 0으로 초기화 always @(posedge clk) clk_div = clk_div + 1; // Debounce wire debounced_btn; D_flip_flop_n debnc(.d(btn), .clk(clk_div[16]).. 2023. 9. 7.
"화웨이 7나노 칩, DUV로 제작 유력…생산단가 삼성의 100배" [中 메모리 어디까지 왔나] EUV 노광 장비 쓸수없는 SMIC DUV로 공정 반복해 칩 만든듯 中 55조원 장비 국산화펀드 준비 7나노 이하 반도체 개발 가능성도 충격빠진 美 더 강력한 제재 검토 중국 SMIC 칩. 서울경제DB [서울경제] 국내 반도체 업계 전문가들이 화웨이의 신규 7㎚(나노미터·10억분의 1m) 칩 생산 비용이 삼성의 동급 극자외선(EUV) 공정 비용보다 100배 이상 비싸 원가 경쟁력에서 크게 밀릴 것이라고 분석했다. 다만 현지 회사들이 중국 정부의 천문학적인 지원을 등에 업고 7나노 이하 반도체 양산까지 할 수 있을 것이라고 입을 모았다. 6일(현지 시간) 반도체 분석 기관 테크인사이츠는 화웨이가 최근 발표한 메이트60 프로에 적용된 ‘기린9000s’ 애플리케이션프로세서(AP)가.. 2023. 9. 7.
[Harman 세미콘 아카데미] 51일차 - Verilog(Stop Watch - 오류 검증, Prescaler 간소화, Mission) [오류 발생한 Part 체크] 📌 Simulation을 이용한 방법 테스트벤치를 만들어 오류가 발생한 part를 체크하고 수정할 수 있다. Testbench 관련 내용 [Harman 세미콘 아카데미] 2일차 - 보수체계, Testbench, Delay [개요] 1. 논리 회로 - Combinational Logic (조합 논리 회로) : input이 주어지면, output이 고정된다. output이 다음 output에 영향을 주지 못 함. - Sequential Logic (순차 논리 회로) : 이전 output이 다음 output에 영 rangvest.tistory.com 📌 LED를 이용한 방법 가장 쉽고 간단한 방법이다. 시뮬레이션을 활용하려면, 테스트벤치를 만들고 레지스터 등록하는 등 해줘야할 것.. 2023. 9. 6.