본문 바로가기

전체 글315

3나노 모바일 AP 시대 본격화…파운드리, 고객사 확보에 사활 애플·미디어텍 3나노 AP 발표...내년 삼성·퀄컴도 공개 전망 모바일 애플리케이션 프로세서(AP)가 3나노미터(mn) 공정 시대를 맞이했다. 애플이 어제(13일) 공개한 AP 'A17 프로'를 시작으로 내년부터 미디어텍, 퀄컴, 삼성전자 등도 본격적으로 3나노 공정 기반 AP를 공급할 예정이다. 모바일 AP는 스마트폰의 두뇌 역할을 하는 핵심 반도체다. 전체 스마트폰 부품원가(BoM)에서 모바일 AP는 약 20%로 가장 높은 비중을 차지하는 반도체인 만큼, 값비싼 반도체에 속한다. 특히 최첨단 3나노 공정 칩은 제조 비용이 더 비쌀 수밖에 없다. 업계에 따르면 TSMC 3나노 공정은 웨이퍼 당 2만 달러(한화 약 2700만원)로 7나노 공정(웨이퍼 당 1만 달러) 보다 2배 이상 비싼 것으로 파악된다... 2023. 9. 18.
[Harman 세미콘 아카데미] 58일차 - Verilog(PWM 코드 및 시뮬레이션) [PWM] 📌 PWM 기초 클락의 펄스를 예로 들어 보자. module clock_usec( input clk, rst, input enable, output clk_usec ); // 125개 카운트하면 1us reg [6:0] cnt_8nsec; wire cp_usec; // cp : clock pulse always @(posedge clk, posedge rst) begin if(rst) cnt_8nsec = 0; // reset이 들어오면 cnt = 0 else if(cnt_8nsec >= 124) cnt_8nsec = 0; // 0부터 124번까지 카운트하면 다시 0으로 else cnt_8nsec = cnt_8nsec + 1; end assign cp_usec = cnt_8nsec < 63 ?.. 2023. 9. 15.
[Harman 세미콘 아카데미] 58일차 - Verilog(Ultrasonic : 오류 수정, FSM 없이 구현, Testbench) [오류 수정] 동작 중에 echo wire를 뺴버리면, 동작이 중단되고 pedge를 기다리는 상태에 갇혀서 못 빠져나온다. 따라서 이러한 에러가 발생했을 경우에 에러 처리를 하는 코드를 추가해보자. S_WAIT_PEDGE : begin LED_bar[2] 2023. 9. 15.
[Harman 세미콘 아카데미] 57일차 - Verilog(Presentation, Ultrasonic review, Timing management, Running Average) [Presentation] 오전에는 어제 만들었던 Ultrasonic module 미션에 대해 발표하는 시간을 가졌다. 첫 발표인만큼, 떨리기도 많이 떨렸고 부족한 점도 많았다. 이번 PPT를 발판 삼아, 부족했던 부분들을 보완하는 기회로 삼아야 겠다. [Review] 📌 Code(교수님 버전) 사실 Ultrasonic sensor는 input과 output이 각각 나뉘어 있기 때문에 굳이 FSM을 쓰지 않고, 각각 따로 동작하도록 설계해줘도 된다. 근대 FSM에 익숙해지면 좋으니까 그냥 쓰자. module UltraSonic_Profsr( input clk, rst, input echo, output reg trig, output reg [15:0] distance_cm, output reg [7:0].. 2023. 9. 14.
[STM32(ARM) Project] Pacman 게임 만들기(ADC, 조이스틱, I2C, LCD, PWM, Timer 등) [Mission] 📌 Specification ✅ 제작 기간 : 2023.09.06~2023.09.08 (3 Days) ✅ Board : STM32 Nucleo - F411RE ✅ Tool : STM32CubeIDE GitHub Link GitHub - Graffitio/Project_Pacman Contribute to Graffitio/Project_Pacman development by creating an account on GitHub. github.com 📌 Mission : STM32 board와 각종 기능들을 활용하여 Pacman 게임을 구현해보자. M1. 조이스틱을 이용하여 pacman의 움직임을 제어한다. M2. 통신 방식(UART, I2C 등) 중 하나를 선택하여 LCD로 게임을 출력.. 2023. 9. 14.
[Harman 세미콘 아카데미] 56일차 - Verilog(Mission : UltraSonic module의 구현) [Mission] 📌 Mission FPGA를 활용한 UltraSonic Sensor 구현 ✅ Verilog Language로 초음파 센서를 동작시키는 회로를 설계 ✅ Testbench를 작성하여 Simulation ✅ 측정된 값을 FND를 통하여 출력 📌 Tool ① Cora z7 07s Board ② HC-SR04(UltraSonic Sensor) ③ 16 bit FND ④ 8 bit LED bar ⑤ 브레드보드 및 점퍼 와이어(필요한 경우) [Result] 📌 Block Diagram & FSM State Diagram Block Diagram FSM State Diagram FSM 이란 특정한 상태를 정의하기위한 개념적 모델이며, 여러개의 제한된 상태(State)가 존재하고 그 존재들이 특정 조건.. 2023. 9. 14.
[Harman 세미콘 아카데미] 55일차 - Verilog(온습도 센서 : Testbench, LED Debugging) [Testbench을 사용하여 Simulation] 📌 Testbench Code Testbench가 온습도 센서 역할을 하도록 작성해주면 된다. module tb_DHT11(); reg clk, reset_p; tri1 dht11_data; // tri1 : 풀업달린 선이라 생각하면 된다.(z주면 1)(시뮬레이션에서만 쓰는 데이터타입), 회로만들 때는 다 wire wire [7:0] humidity, temperature; integer i; // 테스트벤치가 온습도 센서 역할을 하면 시뮬레이션된다. DHT11 DUT(clk, reset_p, dht11_data, humidity, temperature); // 순서대로 작성하면 굳이 .clk(clk) 이렇게 안 써줘도 된다. // 소수부는 0으로 두고.. 2023. 9. 12.
[Harman 세미콘 아카데미] 54일차 - Verilog(온습도 센서 개요 및 통신프로토콜 Coding) [온습도 센서의 개요 및 Data Sheet] DHT11 온습도 센서로 온습도를 센싱하여 FND로 출력하는 기능을 구현해보도록 하자. 📌 Typical Application 📌 DHT11의 통신 프로토콜 처음에 MCU가 Low high보내주면, 받았다는 신호로 DHT가 Low High하나 보내고 데이터를 보내기 시작한다. DHT의 응답이 완료되면 Data Transmit이 시작되고 총 40bit를 보낸 뒤 종료되고 1회의 통신 프로세스는 약 4ms이며, 데이터는 정수와 소수 부분으로 구성된다. Process와 Process 사이의 IDLE 구간은 약 3초 정도의 대기 시간을 줘야 DHT11이 무리없이 통신할 수 있다. "RH 정수 부분(8bit) + RH 소수 부분(8bit)" + "T 정수 부분(8bi.. 2023. 9. 11.