본문 바로가기

100억 모으기 프로젝트315

[STM32(ARM) Project] Pacman 게임 만들기(ADC, 조이스틱, I2C, LCD, PWM, Timer 등) [Mission] 📌 Specification ✅ 제작 기간 : 2023.09.06~2023.09.08 (3 Days) ✅ Board : STM32 Nucleo - F411RE ✅ Tool : STM32CubeIDE GitHub Link GitHub - Graffitio/Project_Pacman Contribute to Graffitio/Project_Pacman development by creating an account on GitHub. github.com 📌 Mission : STM32 board와 각종 기능들을 활용하여 Pacman 게임을 구현해보자. M1. 조이스틱을 이용하여 pacman의 움직임을 제어한다. M2. 통신 방식(UART, I2C 등) 중 하나를 선택하여 LCD로 게임을 출력.. 2023. 9. 14.
[Harman 세미콘 아카데미] 56일차 - Verilog(Mission : UltraSonic module의 구현) [Mission] 📌 Mission FPGA를 활용한 UltraSonic Sensor 구현 ✅ Verilog Language로 초음파 센서를 동작시키는 회로를 설계 ✅ Testbench를 작성하여 Simulation ✅ 측정된 값을 FND를 통하여 출력 📌 Tool ① Cora z7 07s Board ② HC-SR04(UltraSonic Sensor) ③ 16 bit FND ④ 8 bit LED bar ⑤ 브레드보드 및 점퍼 와이어(필요한 경우) [Result] 📌 Block Diagram & FSM State Diagram Block Diagram FSM State Diagram FSM 이란 특정한 상태를 정의하기위한 개념적 모델이며, 여러개의 제한된 상태(State)가 존재하고 그 존재들이 특정 조건.. 2023. 9. 14.
[Harman 세미콘 아카데미] 55일차 - Verilog(온습도 센서 : Testbench, LED Debugging) [Testbench을 사용하여 Simulation] 📌 Testbench Code Testbench가 온습도 센서 역할을 하도록 작성해주면 된다. module tb_DHT11(); reg clk, reset_p; tri1 dht11_data; // tri1 : 풀업달린 선이라 생각하면 된다.(z주면 1)(시뮬레이션에서만 쓰는 데이터타입), 회로만들 때는 다 wire wire [7:0] humidity, temperature; integer i; // 테스트벤치가 온습도 센서 역할을 하면 시뮬레이션된다. DHT11 DUT(clk, reset_p, dht11_data, humidity, temperature); // 순서대로 작성하면 굳이 .clk(clk) 이렇게 안 써줘도 된다. // 소수부는 0으로 두고.. 2023. 9. 12.
[Harman 세미콘 아카데미] 54일차 - Verilog(온습도 센서 개요 및 통신프로토콜 Coding) [온습도 센서의 개요 및 Data Sheet] DHT11 온습도 센서로 온습도를 센싱하여 FND로 출력하는 기능을 구현해보도록 하자. 📌 Typical Application 📌 DHT11의 통신 프로토콜 처음에 MCU가 Low high보내주면, 받았다는 신호로 DHT가 Low High하나 보내고 데이터를 보내기 시작한다. DHT의 응답이 완료되면 Data Transmit이 시작되고 총 40bit를 보낸 뒤 종료되고 1회의 통신 프로세스는 약 4ms이며, 데이터는 정수와 소수 부분으로 구성된다. Process와 Process 사이의 IDLE 구간은 약 3초 정도의 대기 시간을 줘야 DHT11이 무리없이 통신할 수 있다. "RH 정수 부분(8bit) + RH 소수 부분(8bit)" + "T 정수 부분(8bi.. 2023. 9. 11.