본문 바로가기

100억 모으기 프로젝트315

3나노 모바일 AP 시대 본격화…파운드리, 고객사 확보에 사활 애플·미디어텍 3나노 AP 발표...내년 삼성·퀄컴도 공개 전망 모바일 애플리케이션 프로세서(AP)가 3나노미터(mn) 공정 시대를 맞이했다. 애플이 어제(13일) 공개한 AP 'A17 프로'를 시작으로 내년부터 미디어텍, 퀄컴, 삼성전자 등도 본격적으로 3나노 공정 기반 AP를 공급할 예정이다. 모바일 AP는 스마트폰의 두뇌 역할을 하는 핵심 반도체다. 전체 스마트폰 부품원가(BoM)에서 모바일 AP는 약 20%로 가장 높은 비중을 차지하는 반도체인 만큼, 값비싼 반도체에 속한다. 특히 최첨단 3나노 공정 칩은 제조 비용이 더 비쌀 수밖에 없다. 업계에 따르면 TSMC 3나노 공정은 웨이퍼 당 2만 달러(한화 약 2700만원)로 7나노 공정(웨이퍼 당 1만 달러) 보다 2배 이상 비싼 것으로 파악된다... 2023. 9. 18.
[Harman 세미콘 아카데미] 58일차 - Verilog(PWM 코드 및 시뮬레이션) [PWM] 📌 PWM 기초 클락의 펄스를 예로 들어 보자. module clock_usec( input clk, rst, input enable, output clk_usec ); // 125개 카운트하면 1us reg [6:0] cnt_8nsec; wire cp_usec; // cp : clock pulse always @(posedge clk, posedge rst) begin if(rst) cnt_8nsec = 0; // reset이 들어오면 cnt = 0 else if(cnt_8nsec >= 124) cnt_8nsec = 0; // 0부터 124번까지 카운트하면 다시 0으로 else cnt_8nsec = cnt_8nsec + 1; end assign cp_usec = cnt_8nsec < 63 ?.. 2023. 9. 15.
[Harman 세미콘 아카데미] 58일차 - Verilog(Ultrasonic : 오류 수정, FSM 없이 구현, Testbench) [오류 수정] 동작 중에 echo wire를 뺴버리면, 동작이 중단되고 pedge를 기다리는 상태에 갇혀서 못 빠져나온다. 따라서 이러한 에러가 발생했을 경우에 에러 처리를 하는 코드를 추가해보자. S_WAIT_PEDGE : begin LED_bar[2] 2023. 9. 15.
[Harman 세미콘 아카데미] 57일차 - Verilog(Presentation, Ultrasonic review, Timing management, Running Average) [Presentation] 오전에는 어제 만들었던 Ultrasonic module 미션에 대해 발표하는 시간을 가졌다. 첫 발표인만큼, 떨리기도 많이 떨렸고 부족한 점도 많았다. 이번 PPT를 발판 삼아, 부족했던 부분들을 보완하는 기회로 삼아야 겠다. [Review] 📌 Code(교수님 버전) 사실 Ultrasonic sensor는 input과 output이 각각 나뉘어 있기 때문에 굳이 FSM을 쓰지 않고, 각각 따로 동작하도록 설계해줘도 된다. 근대 FSM에 익숙해지면 좋으니까 그냥 쓰자. module UltraSonic_Profsr( input clk, rst, input echo, output reg trig, output reg [15:0] distance_cm, output reg [7:0].. 2023. 9. 14.