본문 바로가기

# Semiconductor/- Semicon Academy103

[Harman 세미콘 아카데미] 11일차 - 반도체 개요, C언어 개요 및 문법 [반도체 개요] 반도체란? https://rangvest.tistory.com/entry/%EB%B0%98%EB%8F%84%EC%B2%B4%EB%9E%80-%EB%B0%98%EB%8F%84%EC%B2%B4%EC%9D%98-%EC%A0%95%EC%9D%98-%EB%B0%8F-%ED%8A%B9%EC%A7%95-%EC%86%8C%EC%9E%90-%EB%B0%98%EB%8F%84%EC%B2%B4-%EC%A2%85%EB%A5%98 [반도체란?] - 반도체의 정의 및 특징, 소자, 반도체 종류 [반도체] 반도체란? [Semiconductor] : 전기가 잘 통하는 도체와 통하지 않는 부도체(절연체)의 중간 특성을 가진 물질 그 물질 자체보다 반도체 소자를 의미하는 경우가 많다. 반도체의 특징 : 열, 빛, rang.. 2023. 7. 3.
[Harman 세미콘 아카데미] 10일차 - Register, Memory [Register] Register란? FF 여러 개를 일렬로 배열하여 적당히 연결함으로써, 여러 비트로 구성된 2진수를 저장할 수 있도록 한 것 즉, 외부로부터 들어오는 데이터를 저장하거나 이동하는 목적으로 사용하며, 상태의 순서적인 특성을 갖는 것은 아니다. 다음과 같은 경우에 많이 사용 - CPU 내부에서 연산의 중간 결과를 임시 저장하는 경우 - 어떤 2진수의 보수를 구하는 경우 - 곱셉 또는 나눗셈을 하는 경우 등 Register의 종류 1. 직렬 입력 - 직렬 출력 레지스터 = SI-SO Register(serial input - serial output) module shift_register_SISO( /// SISO register 구조적 모델링 input clk, rst, d, outp.. 2023. 6. 30.
[Harman 세미콘 아카데미] 9일차 - Counter 활용(parameter, bin_to_dec, TFF, edge_detector, debouncing) [Schematic] [parameter] 이전에 4bit, 12bit counter를 만들었는데, parameter를 활용하면 굳이 bit마다 모듈을 만들어줄 필요가 없다. [Bin_to_Dec] 아무래도 알파벳으로 카운팅되면, 가독성이 떨어질 수 밖에 없다. ∴ 0123456789abcdef → 0123456789 이전에 만들었던, bin_to_dec 모듈을 counter에 인스턴스하면 된다. [Toggle] 버튼 누를 때마다, up/down 토글되도록 만들어 보자. → TFF 활용. ※ 이렇게 하면 에러 발생 clk 입력에 버튼 입력을 주니까 에러가 생김 clk 입력에는 FPGA 보드의 정규 clk(125MHz)만 사용해야 한다. 다른 걸 넣는다는 것은 비동기로 쓴다는 의미 → 동기화 문제가 발생한.. 2023. 6. 29.
[Harman 세미콘 아카데미] 8일차 - review, async/sync counter [Review] 초반 2시간은 이제까지 했던 것들을 복습하는 시간을 가졌다. [async / sync] 1. 비동기식(async) : 요청과 결과가 동시에 일어지 않는 방식 → 요청을 보낸 후, 응답을 받지 않아도 진행할 수 있다. → 즉, FF들이 서로 다른 clk를 사용 ▶특징 : 결과를 기다리지 않고 각각 작업 수행 가능 설계가 복잡하고 타이밍 제어(PDT제어)가 잘 안 됨. ∴ FPGA에서는 async를 사용하지 않는다. 2. 동기식(sync) : 요청과 결과가 동시에 일어나는 방식 → 요청을 보낸 후, 응답을 받아야 진행된다. → 즉, FF들이 같은 clk를 사용 ▶특징 : 설계가 간단하고 직관적 요청에 대한 결과가 반환될 때까지 대기해야됨. [Reset] FF에서 초기값을 지정해주지 않으면, .. 2023. 6. 28.