본문 바로가기

100억 모으기 프로젝트315

[Harman 세미콘 아카데미] 93일차 - SoC Design(CPU를 활용한 Calculator 구현, SoC / MicroBlaze) [Calculator] CPU와 4x4 KeyPad, FND를 활용하여 계산기를 구현해보자. 키패드 구성은 다음과 같다. 7 8 9 ÷ (D) 4 5 6 × (E) 1 2 3 - (B) C 0 = (F) + (A) 📌 Top module module Calculator_Top( input clk, reset_p, input [3:0] row, output [3:0] col, output [3:0] com, output [7:0] seg_7 ); wire [3:0] key_value; wire key_valid; key_pad_cntr key_pad(.clk(clk), .reset_p(reset_p), .row(row), .col(col), .key_value(key_value), .key_valid(ke.. 2023. 11. 16.
[Harman 세미콘 아카데미] 92일차 - Verilog(CPU Review / 시뮬레이션) [CPU Review] CPU 동작 Sequence 인스트럭션 Fetch(롬에서 명령어 읽어들임) - Decode(명령어 해석) - Execute(명령어 실행) STM보드를 예시로 들면, 윗부분이 ST Link 아랫 부분이 컴퓨팅 칩 여기서 ST Link가 프로그래머 [Simulation] 모든 경우에 대해 시뮬레이션하며 디버깅해보자. module tb_processor(); reg clk, reset_p; reg [3:0] key_value; reg key_valid; wire [7:0] outreg_data; wire [3:0] kout; // key로 입력된 값을 출력(프로세스가 키 값을 제대로 받는 지 확인하기 위한 것) processor DUT(clk, reset_p, key_value, key.. 2023. 11. 15.
[Harman 세미콘 아카데미] 85~91일차 - 자율주행 시스템 구현 프로젝트 [프로젝트 진행] 2023.11.06 ~ 2023.11.13 프로젝트 진행 2023.11.14 프로젝트 발표 2023. 11. 15.
[FPGA Project] CPU 구현 프로젝트(ALU, ACC, PC, MAR, MDR, ROM, Control Block, Data Bus) [Mission] 📌 Project Summary Project name : FPGA환경에서 Verilog 언어를 통한 4bit CPU 구현 프로젝트 Mission i) 실제 CPU와 같이 Fetch-Decode-Execute- Writeback 사이클을 반복하여 연산하도록 구현 ii) 사칙연산, 논리연산 iii) 시프트연산, 비교연산 iv) 테스트벤치 작성을 통한 시뮬레이션으로 기능 검증 프로젝트 기간 및 팀원 기간 : 4 Days 팀원 : 1명 [Result] 📌 Operation 📌 Function & Block Diagram ✅ 연산 파트 : 사칙연산, 논리연산, 시프트연산, 비교연산을 수행합니다. - ALU : 각 연산의 명령어(op_sub, op_and 등)와 ACC와 BREG에 저장된 데이터.. 2023. 11. 8.