본문 바로가기
# Semiconductor/- Semicon Academy

[Harman 세미콘 아카데미] 56일차 - Verilog(Mission : UltraSonic module의 구현)

by Graffitio 2023. 9. 14.
[Harman 세미콘 아카데미] 56일차 - Verilog(Mission : UltraSonic module의 구현)
728x90
반응형
[Mission]

 

📌 Mission

FPGA를 활용한 UltraSonic Sensor 구현

 

      ✅ Verilog Language로 초음파 센서를
            동작시키는 회로를 설계

      ✅ Testbench를 작성하여 Simulation

      ✅ 측정된 값을 FND를 통하여 출력

 


 

📌 Tool

      ① Cora z7 07s Board
      ② HC-SR04(UltraSonic Sensor)
      ③ 16 bit FND
      ④ 8 bit LED bar
      ⑤ 브레드보드 및 점퍼 와이어(필요한 경우)

 


 

[Result]

 

📌 Block Diagram & FSM State Diagram

 

Block Diagram

 

FSM State Diagram

 

FSM 이란 특정한 상태를 정의하기위한 개념적 모델이며,
여러개의 제한된 상태(State)가 존재하고 
그 존재들이 특정 조건에 물려 서로 연결되어있는 형태를 의미한다.

 


 

📌 Pin Configuration

 


 

📌 Part Description

 


 

📌 Code Description

 


 

📌 Operation

Operation
Trigger
Echo

 


 

📌 Review

 

Error

 

Sensing에도 문제없고, 시뮬레이션 결과도 정상이었음에도 불구하고

거리 측정이 7cm까지밖에 안 되는 현상이 발생하였다.

 

원인은 

초음파 센서가 15도까지 측정이 가능하기 때문에 뒤에 있던 아이패드를 장애물로 인식하고 

아이패드까지의 거리만 계속 측정했던 것이었다.

납작하니까 상관없겠지 했던 안일한 생각으로 인해, 처음부터 코드를 갈아 엎는 등 6시간동안

별 난리를 다 쳤었다.

 

 

결론 및 느낀점

 

갑작스럽게 던져진 프로젝트였지만,
그나마 온습도 센서 코딩할 때 쌓인 지식들이 있어 
프로젝트를 나름 수월하게 해낼 수 있었다.

 

디버깅은 대부분 TB를 통해서 이루어 졌는데,
어느 부분에서 오류가 발생했는지 직관적으로 알 수 있어
TB가 큰 도움이 되었고, 앞으로도 적극적으로 활용할 예정이다.

어이없는 실수로 처음부터 다 갈아 엎느라 몇 시간을 그냥 날려버리기도 했었는데,

이제 와서 돌아 보니 나무가 아닌 숲을 볼 수 있는 계기가 된 것 같아

한 편으로는 한층 더 성장한 것을 느낄 수 있었다.

Harman 과정 후반 쯤에 자율 주행 시스템을 FPGA로 구현하는 프로젝트가 있는 걸로 아는데, 

그때는 지금보다 더욱 더 빠르고 정확하게 코딩하는 내가 될 수 있도록 열심히 노력해야겠다.

 


 

[Full Code]

 

GitHub
 

GitHub - Graffitio/Harman_FPGA_Ultrasonic

Contribute to Graffitio/Harman_FPGA_Ultrasonic development by creating an account on GitHub.

github.com

 


 

728x90
반응형